Bug 27189 - "&" im Namen von Snapshots
"&" im Namen von Snapshots
Status: CLOSED FIXED
Product: UCS
Classification: Unclassified
Component: Virtualization - UVMM
UCS 3.0
Other Linux
: P5 normal (vote)
: UCS 3.1
Assigned To: Philipp Hahn
Janek Walkenhorst
: interim-3
: 22069 (view as bug list)
Depends on:
Blocks:
  Show dependency treegraph
 
Reported: 2012-05-21 09:44 CEST by Janis Meybohm
Modified: 2012-12-12 21:10 CET (History)
4 users (show)

See Also:
What kind of report is it?: ---
What type of bug is this?: ---
Who will be affected by this bug?: ---
How will those affected feel about the bug?: ---
User Pain:
Enterprise Customer affected?:
School Customer affected?:
ISV affected?:
Waiting Support:
Flags outvoted (downgraded) after PO Review:
Ticket number:
Bug group (optional): Usability
Max CVSS v3 score:
hahn: Patch_Available+


Attachments
Escape user supplied values (2.76 KB, patch)
2012-05-21 14:19 CEST, Philipp Hahn
Details | Diff

Note You need to log in before you can comment on or make changes to this bug.
Description Janis Meybohm univentionstaff 2012-05-21 09:44:05 CEST
Wird ein Snapshot mit einem "&" im Namen angelegt, gibt UVMM die Meldung "Ein Fehler trat während der Bearbeitung Ihrer Anfrage auf." aus und der Snapshot wird nicht erzeugt.
Comment 1 Andreas Büsching univentionstaff 2012-05-21 09:57:28 CEST
Das ist eher eine Verbesserung im Frontend. Die gleiche unbrauchbare Fehlermeldung kommt auch bei anderen Sonderzeichen (+, @, ...)
Comment 2 Philipp Hahn univentionstaff 2012-05-21 14:19:39 CEST
Created attachment 4380 [details]
Escape user supplied values
Comment 3 Philipp Hahn univentionstaff 2012-08-07 19:46:13 CEST
Der Patch wurde eingespielt.
Beim Anlegen von Volumes werden auch noch die Benutzer, Gruppen und Permissions escaped.
Im Python-Middle-Code wurden auch noch etliche Fälle korrigiert (Wird der Code eigentlich noch benötigt?)
Im JavaScript-Frontend wird der Hostname im VNC-Applet und beim Löschen der Hostname und die Volume-Namen escaped.

Weitere JS-Quoting-Probleme werden über Bug #28146 abgehandelt.

svn34685, univention-virtual-machine-manager-daemon_2.0.1-1.386.201208071940

ChangeLog: svn14237
\item The escaping of XML special characters has been fixed (\ucsBug{27189}).
Comment 4 Philipp Hahn univentionstaff 2012-09-20 09:00:26 CEST
*** Bug 22069 has been marked as a duplicate of this bug. ***
Comment 5 Janek Walkenhorst univentionstaff 2012-11-15 17:44:49 CET
Snapshot per UVMM angelegt mit dem Namen:
Bla & <test ;) > = " ' "

Beim Revert per UVMM:

Error reverting "2b3fdd16-62dd-ae2e-f193-bc2a8c2a4222" to snapshot: Domain snapshot not found: no snapshot with matching name 'Bla &amp; &lt;test ;) > = " ' "'
Comment 6 Philipp Hahn univentionstaff 2012-11-16 10:42:22 CET
(In reply to comment #5)
> Snapshot per UVMM angelegt mit dem Namen:
> Bla & <test ;) > = " ' "
> 
> Beim Revert per UVMM:
> 
> Error reverting "2b3fdd16-62dd-ae2e-f193-bc2a8c2a4222" to snapshot: Domain
> snapshot not found: no snapshot with matching name 'Bla &amp; &lt;test ;) > = "
> ' "'

Das ist ein Bug in UMC / Dojo: Bug #29254

Für das 3.1-Relese werden jetzt ertsmal & und < verboten.
svn37285, univention-virtual-machine-manager-daemon_2.0.18-1.408.201211161039

ChangeLog: svn15602
\item The escaping of XML special characters has been fixed; \texttt{\&} and \texttt{<} are prohibited in snapshot names (\ucsBug{27189}).
Comment 7 Janek Walkenhorst univentionstaff 2012-11-19 13:19:11 CET
(In reply to comment #6)
> Für das 3.1-Relese werden jetzt ertsmal & und < verboten.
> svn37285, univention-virtual-machine-manager-daemon_2.0.18-1.408.201211161039
Das funktioniert soweit, allerdings ist die Fehlermeldung noch alt (Angeblich nur "/" und "." verboten).

> ChangeLog: svn15602
> \item The escaping of XML special characters has been fixed; \texttt{\&} and
> \texttt{<} are prohibited in snapshot names (\ucsBug{27189}).
OK
Comment 8 Philipp Hahn univentionstaff 2012-11-20 14:07:35 CET
(In reply to comment #7)
> (In reply to comment #6)
> > Für das 3.1-Relese werden jetzt ertsmal & und < verboten.
> > svn37285, univention-virtual-machine-manager-daemon_2.0.18-1.408.201211161039
> Das funktioniert soweit, allerdings ist die Fehlermeldung noch alt (Angeblich
> nur "/" und "." verboten).

Wurde ergänzt.
svn37368, 2.0.20-1.410.201211201403
ChangeLog: ±0
Comment 9 Janek Walkenhorst univentionstaff 2012-11-20 14:45:57 CET
(In reply to comment #8)
> (In reply to comment #7)
> > (In reply to comment #6)
> > > Für das 3.1-Relese werden jetzt ertsmal & und < verboten.
> > Das funktioniert soweit, allerdings ist die Fehlermeldung noch alt (Angeblich
> > nur "/" und "." verboten).
> Wurde ergänzt.
OK
Comment 10 Stefan Gohmann univentionstaff 2012-12-12 21:10:26 CET
UCS 3.1-0 has been released: 
 http://forum.univention.de/viewtopic.php?f=54&t=2125

If this error occurs again, please use "Clone This Bug".